Bug in TDES fixed

This commit is contained in:
bg 2011-02-04 03:48:33 +00:00
parent ac564028e5
commit 69d6349f8a
27 changed files with 4 additions and 4 deletions

View File

@ -380,9 +380,9 @@ void tdes_enc(void* out, void* in, const void* key){
/******************************************************************************/
void tdes_dec(void* out, void* in, const uint8_t* key){
des_dec(out, in, (uint8_t*)key + 0);
des_dec(out, in, (uint8_t*)key +16);
des_enc(out, out, (uint8_t*)key + 8);
des_dec(out, out, (uint8_t*)key +16);
des_dec(out, out, (uint8_t*)key + 0);
}
/******************************************************************************/

View File

@ -1,7 +1,7 @@
# Makefile for Grøstl
# Makefile for JH
ALGO_NAME := JH_SIMPLE_SMALL_C
# comment out the following line for removement of Grøstl from the build process
# comment out the following line for removement of JH from the build process
HASHES += $(ALGO_NAME)
$(ALGO_NAME)_DIR := jh/